Уважаемые посетители! Форум CQHAM.RU существует исключительно за счет показа рекламы. Мы будем благодарны, если Вы не будете блокировать рекламу на нашем Форуме. Просим внести cqham.ru в список исключений для Вашего блокировщика рекламы.
Страница 202 из 203 ПерваяПервая ... 102152192199200201202203 ПоследняяПоследняя
Показано с 2,011 по 2,020 из 2024

Тема: DDC/DUC трансивер с интерфейсом Ethernet из модулей с Али

  1. #1
    Аватар для EU1SW
    Регистрация
    01.07.2009
    Адрес
    Originally Minsk, but QRM ) near Minsk/2
    Сообщений
    3,854
    Записей в дневнике
    1
    Позывной
    EU1SW

    DDC/DUC трансивер с интерфейсом Ethernet из модулей с Али

    EU1SW
    В этой теме предлагается конструкция SDR трансивера из готовых модулей на основе исходных кодов OpenHPSDR & Hermes-Lite, протокол обмена совместим с
    Hermes, управляющая программа PowerSDR mRX PS, проект предоставляет функционал "один приемник с обзором 192 кГц + один передатчик" в полном дуплексе, совместим с программой HermesVNA с функционалом векторного анализатора.

    Скрытый текст

    Основные компоненты: демоплата Altera Cyclone IV EP4CE10, демоплата AD6645 14 бит АЦП, ЦАП - 14 битный DAC904e, модуль PHY Ethernet на чипе LAN8720, из необязательных компонентов - УВЧ на BFG591, ДПФ.
    Для тактирования применен внешний CMOS КГ 96 МГц, который непосредственно распаян на плате АЦП и питается от собственного стабилизатора 3,3 В. FPGA PLL используется для внутреннего тактирования. Плата АЦП модифицирована, установлен антиалиас LC фильтр 35 МГц и трансформатор 1:2. Кш приемника без преампа порядка 30 дБ, с преампом на BFG591 - лучше 8 дБ.
    С нонейм КГ 96 МГц RMDR в 10 кГц порядка -120 дБ, IMD3 на выходе ЦАП лучше -70 дБ dBc на всех КВ диапазонах, спуры и прочий мусор - лучше -80 dBc, выход ЦАП - +5 дБм.
    Предусмотрен вывод демодулированного аудио непосредственно из железа, для минимизации задержек, реализация дельтасигма ЦАП, внешний кодек не нужен, наушники непосредственно с пинов ПЛИС через цепочку из ВЧ дросселя + электролит, отсечь постоянку.
    Распиновку лучше сразу смотреть в архиве проекта, там же и готовые файлы .sof для текущей распиновки, для постоянной прошивки нужно конвертировать в .jic
    Единственный нюанс с пинами, так как входом PLL могут быть только специально предназначенные для этого пины, один из них - 23-й уже занят тактовым генератором 50 МГц, но формально он не нужен, поэтому можно либо отпаять генератор и подать DRY с АЦП на этот 23-й пин, скорректировав проект, либо подать на 24-й, на обратной стороне моей платы есть площадка для второго генератора, именно на ней и выведен 24-й пин.

    Возможен вариант использования как 2 независимых приемника с полосой 96 кГц, с одним либо 2-мя АЦП.


    UPDATE 02.01.18

    Скрытый текст

    Добавлен код формирования огибающей CW непосредственно в FPGA
    Самоконтроль CW в наушниках, подключенных к FPGA
    Управление реле преампа от значения аттенюатора Гермес, аттенюация больше -20 выключает реле
    З.Ы. пины по умолчанию изменены для совместимости с платой, перепаяной на 22к ПЛИС, измените под свою распиновку




    Обновление от 10.02.2018

    Hermes_Lite_22k_CW_s idetone_fix192_cwkey _input_tune_out_powe r_control.zip

    Скрытый текст

    Обновление для 22k
    Расширеные кордики RX/TX (low spurs)
    Фиксированный выходной рейт 192 кгц
    2RX+ 1TX
    cwkey input - вход для вертикального ключа или датчиков CW, semi break, время задержки ptt выставляется в powersdr
    активное состояние - пин на массу, поэтому сразу рекомендую в целях сохранности входов ПЛИС цеплять транзисторный оптрон, а светодиод либо подтягивать к плюсу, если у вас ключ, либо выход датчика с ОК, либо соответственно на массу, если ваш датчик выдает логический уровень.
    Аналогично поступить и со входом ptt_in.
    tune output - выход индикации режима tune, в powersdr необходимо включить опцию apollo (tnx rolin)
    регулировка выходной мощности в режиме Гермес, будет работать как регулировка с передней панели софта, так и калибровка в сетапе по диапазонам.
    DAC_ALC - шимированный выход 0-3,3 вольта
    На выходном пине ПЛИС монтируем делитель, например 4,7 и 3 кОм, что бы привести верхнюю границу к опорному ЦАП 1,25 вольта, и фильтрующую емкость.
    Изменения в обвесе DAC: пин
    INT/EXT (16) поднять с массы и подключить к аналоговому плюсу DAC, сигнал с выхода делителя подать на референсный вход REFIN (17), вход высокоомный, дополнительная блокировка по ВЧ будет не лишняя.
    Не забудьте пожалуйста расставить пины согласно своего хардверного дизайна.
    todo: аудиокодек, медленный АЦП


    Обновление от 11.02.2018
    Hermes_Lite_22k_CW_s idetone_fix192_cwkey _input_tune_out_powe r_control_WM8731_OK. zip

    Скрытый текст

    Обновление для 22k (Tnx Василий UR5KIM! за тестирование и багфикс )
    Теперь микрофон и наушники на борту
    аппаратный I2S кодек Wolfson WM8731, использовалась платка с Али наподобие такой https://ru.aliexpress.com/item/FREE-...674210328.html
    требует доработки, нужно поднять 2 ножки, для перевода контрольного интерфейса в режим SPI
    Для совместимости параллельно оставлен текущий код дельтасигма модуляторов для наушников из предыдущих версий
    О доработке немного позже
    Пожалуйста не забывайте выставлять распиновку в соответствие со своей хардверной конфигурацией.


    Обновление от 16.04.2018, полный фарш от Сергея

    Вложения


    Скрытый текст

    Апдейты для обоих плат,
    в версию 10К включены все последние обновления, увеличена раскачка в телеграфе, вместо отдельного выхода на наушники теперь там живет кодек, ШИМ выход на наушники пришлось убрать, ибо не компилировалось, и больше туда все... )
    в обоих прошивках MCP3202 активен, название сигналов согласно схеме, проверяйте распиновку всех сигналов согласно вашему монтажу, компилируйте и наслаждайтесь отличным приемом )

    Добавлено через 12 минут(ы):

    для того, что бы поправить, в файле hermes_lite_core.v ищем кусок текста, и соответственно убираем палки // где надо, и добавляем где не надо
    Код:

    Код:
    //// w/o slowADC 
    //assign AIN1 = 0; 
    //assign AIN2 = 0; 
    //assign AIN3 = 0; 
    //assign AIN4 = 0; 
    //assign AIN5 =  200; 
    //assign AIN6 = 1000; 
    //// end      
    
    //// ADC78H90CIMT 
    //Hermes_ADC ADC_SPI(.clock(pll_12288), .SCLK(ADCCLK), .nCS(nADCCS), .MISO(ADCMISO), .MOSI(ADCMOSI), 
    //                   .AIN1(AIN1), .AIN2(AIN2), .AIN3(AIN3), .AIN4(AIN4), .AIN5(AIN5), .AIN6(AIN6));   
    //// end     
    
    //// MCP3202 tnx N7DDC 
    Angelia_ADC ADC_SPI(.clock(IF_CLRCLK), .SCLK(ADCCLK), .nCS(nADCCS), .MISO(ADCMISO), .MOSI(ADCMOSI),                    
    .AIN1(AIN1), .AIN2(AIN2)); 
    assign AIN3 = 0; 
    assign AIN4 = 0; 
    assign AIN5 =  200; 
    assign AIN6 = 1000; 
    //// end   
    
    //// MCP3204 
    //Angelia_AD4 ADC_SPI(.clock(IF_CLRCLK), .SCLK(ADCCLK), .nCS(nADCCS), .MISO(ADCMISO), .MOSI(ADCMOSI), 
    //                   .AIN1(AIN1), .AIN2(AIN2));
     //assign AIN3 = 0;
     //assign AIN4 = 0; //assign AIN5 =  200; 
    //assign AIN6 = 1000; 
    //// end







    Информация от RX3QFM
    Добавлен хелп по работе с Quartus (версия 15.0 Web Edition) и программированию FPGA





    Информация от UR5KIM
    Схемы и рисунки печатных плат модуля усилителя ВЧ приемника и модуля ЦАП передатчика.

    Скрытый текст

    Файлы корректировались мною после изготовления плат для устранения замеченных недостатков, так что возможно чего-то не учел. Но, надеюсь, что все нормально.
    Схемы в spl, а печатные платы в lay6 форматах. На всякий случай прикладываю файлы схем в графическом виде, а рисунки печаток в pdf файлах. За масштаб при их распечатке не ручаюсь.
    В схеме DAC модуля нарисовано два варианта подключения питания аналоговых узлов микросхемы DAC904E - 3,3 Вольта или 5 Вольт. Эти цепи нарисованы штриховой линией. На печатной плате это подключение нужно сделать, запаяв соответствующую ферритовую бусину. Одновременно должна быть запаяна только одна бусина из двух, помеченных красной точкой на рисунке платы в файле формата lay6!
    Печатки довольно простые, но для облегчения монтажа при наведении указателя мышки на любой элемент в программе SprintLayout подсвечивается номинал этого элемента.
    Платы двухсторонние. Нижняя сторона сплошная фольга, которая используется как общий провод. Она зенкуется в нужных местах под выводы разъемов и перемычки, которая есть на плате усилителя. Подключение элементов к нижнему слою фольги производится короткими отрезками провода или монтажными пистонами, которые пропаиваются с двух сторон платы.
    При изготовлении плат способом ЛУТ рисунки нужно печатать зеркально.
    Подключение микросхемы ЦАП к выводам Альтеры должно соответствовать вашему варианту компиляции программы. Я указал на схеме свой.
    Что непонятно - спрашивайте, постараюсь ответить.






    От RA4UKL. Update 02.01.18
    Желающим собрать плату ADC самостоятельно, пост. Схема даташитная, плата обновлена.




    Комментарий модератора

    1. UN7RX:
    2. Всех предупреждаю - авторские ветки на CQHAM будут модерироваться предельно жестко. Если вам что-то не нравится, вы в них просто не заходите, а за наезды на авторов будут баны вплоть до пожизненных. Никаких шуток!
    Последний раз редактировалось UN7RX; 08.04.2019 в 19:57.
    73! ***a few homemade DDC|DUC rigs, Malamute DDC, Storch-X DDC 7"



  2. #2011
    RC3ZQ, вот я выше и написал для чего нужно? Чтобы загнать ацп в рабочий режим по диз и реализовать ДД по полной или просто чутья надо? Мне кажется его тут достаточно, вот такие например на вч всегда с нормальным уровнем нет желания что-то усилить:

    Нажмите на изображение для увеличения. 

Название:	1303232.jpg 
Просмотров:	114 
Размер:	212.3 Кб 
ID:	386239

    Корпус уже планируется проведу измерения еще раз, придут 591 соберу еще увч тоже померим. Но мне бы ответы на мои вопросы кто понимает, а? \особенно про дизеринг

    RV3DLX, да правильно от полосовика толку мало если только узкий ПАВ, я же хотел фнч 96мгц после кристалла до умножения там и место есть в рожоне

  3. #2012
    Раз
    Два
    Три
    Ставьте полосовики. После них коммутируемый УВЧ или АТТ, выбирайте.
    Полосовик после опоры четыре, но практическая реализация сего будет весьма интересна, так что не парьтесь. Что у EU1SW, что у меня, и думаю еще много у кого работало с noname КГ и вполне сносно.
    Валерий.

  4. Спасибо от art74

  5. #2013
    Цитата Сообщение от art74 Посмотреть сообщение
    я же хотел фнч 96мгц после кристалла до умножения там и место есть в рожоне
    Я это так и понял, о чем и написал.

  6. #2014

    Регистрация
    22.02.2009
    Адрес
    Энгельс
    Сообщений
    12,877
    Позывной
    RK4CI
    Цитата Сообщение от art74 Посмотреть сообщение
    это полка на панораме, фильтр 500Гц
    Прежде всего вы неправильно трактуете понятие шумовой полки. Шумовая полка это совсем не то что отображает панорама. То по какому уровню она расположена, можно изменить в настройках программы. Обычно по умолчанию там стоит 3-5 Гц. Обычно когда говорят о шумовой полке, имеется ввиду пороговая чувствительность вашего приёмника. Она так же зависит от полосы пропускания тракта, и обычно, если полоса не оговаривается дополнительно, имеется ввиду что измеряется мощность шумов в полосе 500 Гц. На вашем скрине показания S метра -123 дБм. По моему, это очень хорошая чувствительность без УВЧ. В основном, она определяется шумами самого АЦП, и выполнением входной части. Похоже, по входу АЦП у вас стоит трансформатор улучшающий согласование входа АЦП с 50 омной антенной.
    Динамика и чувствительность приёмника изначально заложены применённым у вас АЦП. Вы не заметили особой разницы в приёме при подключении диапазонных фильтров, так как у вас довольно низкая чувствительность тракта. И на всём КВ диапазоне просто не было достаточно мощных станций что бы перегрузить АЦП. Вообще, правильно выполненные ДПФ не могут ухудшить динамику, но из за потерь, несколько ухудшают чувствительность. И если собираетесь получить хорошие параметры по приёму в своём трансивере, то на ДПФ экономить не стоит. Тем более, на стоит применять в качестве контуров в фильтре какие то дросселя. Обычно, такие ДПФ применяют в трансиверах самого низкого уровня. Главная задача которых хоть что то принять. Видел дросселя и в СДР конструкциях. НЕ знаю что там с параметрами по динамике и потерям, но когда посмотрел цену на эти дроссельки... Мои на колечках Т 50, мне явно обошлись дешевле. Ну и нормальные ДПФ обычно вносят потери не превышающие 1 дБ. Ну и УВЧ однозначно нужен. ТО что есть у вас сейчас, вполне хватит на НЧ диапазонах. А вот на самых верхних диапазонах, этого явно маловато.
    Нажмите на изображение для увеличения. 

Название:	Безымянный.jpg 
Просмотров:	81 
Размер:	384.5 Кб 
ID:	386253
    Это скрин с измерением пороговой чувствительности платы Гермеса. Та же полоса 500 Гц. Пороговая чувствительность под -139 дБм
    Ну а насчёт зачистки сигнала опорного генератора, с этим ничего не подскажу. Шумы генератора должны влиять только при приёме самых мощных сигналов. Наличие спур может привести к появлению побочных каналов приёма. Но это так же в глаза не бросается. Но то что качество опорного генератора будет определять и качество работы всего трансивера, с этим поспорить трудно. Просто довести качество сигнала посредственного генератора, до уровня высококачественного кварцевого генератора, задача довольно проблемная. Разве что через кварцевый фильтр пропустить, если конечно есть кварцы на соответствующую частоту.

  7. Спасибо от art74, RW9OW

  8. #2015
    RA4UKL, вот как раз благодаря этим постам такие вопросы и появились про то как зашевелить биты ацп уровнем шума.

  9. #2016
    Чувствительности на ВЧ у голого АЦП недостаточно, поэтому ставят УВЧ. Беда в том, что открытый вход+УВЧ не очень дружат с тем, что летит в АЦП до частоты среза ФНЧ, особенно по вечерам. Поэтому ставят ДПФ, а последующее усиление уже подбирают в зависимости от полосы пропускания. Чтобы "шевеление" не пропадало. Поэтому:

    Ставьте полосовики. После них коммутируемый УВЧ или АТТ, выбирайте.
    Валерий.

  10. Спасибо от art74

  11. #2017
    Да, полосовые фильтры не будут лишними, но где то выше в этой теме, Сергей (автор этой конструкции) предлагал более простое решение, которое избавляет в значительной степени от появления побочных сигналов при приеме. Это некая комбинация ФНЧ и ФВЧ. Я делал такое, работает.

  12. #2018
    Аватар для EU1SW
    Регистрация
    01.07.2009
    Адрес
    Originally Minsk, but QRM ) near Minsk/2
    Сообщений
    3,854
    Записей в дневнике
    1
    Позывной
    EU1SW
    Да, параллельно включенные ФНЧ с аттенюатором 10 дБ, и ФВЧ, частота среза обоих в районе 10 МГц, моделировал UF3K, спасибо ему огромное
    Ослабляет сигналы ниже 10 МГц на 10-12 дБ.
    С моим СитиВиндом 80 метров работало замечательно.
    Последний раз редактировалось EU1SW; 14.03.2023 в 14:14.
    73! ***a few homemade DDC|DUC rigs, Malamute DDC, Storch-X DDC 7"

  13. #2019

    Регистрация
    07.08.2006
    Адрес
    KO91OO
    Сообщений
    221
    Позывной
    UF3K
    Цитата Сообщение от art74 Посмотреть сообщение
    Чтобы загнать ацп в рабочий режим по диз и реализовать ДД по полной или просто чутья надо? Мне кажется его тут достаточно, вот такие например на вч всегда с нормальным уровнем нет желания что-то усилить
    Проще всего посмотреть насколько упадет шумовая полка при отключении антенны. Если на 10 дБ, то все отлично. Но судя по цифрам в -115 дБ как-то шумновато. Или не откалиброван S-meter.
    Вообще говоря, чтобы на ВЧ-диапазонах было все красиво (не было палок от вещалок и т.п.), разумная конфигурация тракта:
    BPF -> ATT 10 dB -> ATT 20 dB -> LNA 20 dB -> LPF -> ADC
    Аттенюаторами управлять с ПЛИС, УВЧ не отключаемый, как раз и младшие биты АЦП пошевелит, и чувствительности добавит.
    И да, прежде всего замените генератор 96 МГц, Rojon имеют совсем печальные параметры по шуму в ближней зоне.
    73! Владимир. ex RX3QFM

  14. Спасибо от art74


  15. #2020
    Аватар для EU1SW
    Регистрация
    01.07.2009
    Адрес
    Originally Minsk, but QRM ) near Minsk/2
    Сообщений
    3,854
    Записей в дневнике
    1
    Позывной
    EU1SW
    Цитата Сообщение от UF3K Посмотреть сообщение
    Но судя по цифрам в -115 дБ как-то шумновато
    Володь, УВЧ нет )
    коллега идет своим путем, задает вопросы, ответы на которые уже нашлись триста лет тому назад )
    73! ***a few homemade DDC|DUC rigs, Malamute DDC, Storch-X DDC 7"

Страница 202 из 203 ПерваяПервая ... 102152192199200201202203 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. DIY SDR DDC "на коленке", или конструкция выходного дня из модулей с Али
    от EU1SW в разделе Software Defined Radio (SDR), Digital Radio Mondiale (DRM)
    Ответов: 729
    Последнее сообщение: 03.09.2023, 21:04
  2. Бюджетный DDC/DUC
    от Слесарь в разделе Software Defined Radio (SDR), Digital Radio Mondiale (DRM)
    Ответов: 74
    Последнее сообщение: 14.08.2016, 23:44
  3. Бюджетный DDC/DUC
    от Слесарь в разделе Технический кабинет
    Ответов: 3
    Последнее сообщение: 10.08.2016, 13:19
  4. SDRstick UDPSDR-HF1/2 DDC+DUC
    от Windk в разделе Software Defined Radio (SDR), Digital Radio Mondiale (DRM)
    Ответов: 55
    Последнее сообщение: 17.09.2013, 21:50
  5. Очередной DDC/DUC трансивер MakSDR
    от makkosik в разделе Software Defined Radio (SDR), Digital Radio Mondiale (DRM)
    Ответов: 27
    Последнее сообщение: 30.08.2012, 10:17

Метки этой темы

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •